अनुक्रमिक तर्क: 17 महत्वपूर्ण तथ्य जो आपको जानना चाहिए

सामग्री: अनुक्रमिक तर्क

अनुक्रमिक तर्क परिभाषा:

एक प्रकार का तर्क जिसमें इनपुट की पिछली अनुक्रम स्थिति के साथ-साथ वर्तमान इनपुट वर्तमान आउटपुट स्थिति को प्रभावित कर सकता है।

अनुक्रमिक तर्क सर्किट क्या है?

RSI अनुक्रमिक तर्क सर्किट एक बुनियादी स्मृति तत्व के साथ संयोजन सर्किट का एक संयुक्त रूप है। एक स्मृति तत्व की उपस्थिति के साथ, सर्किट पिछले इनपुट और आउटपुट राज्यों को स्टोर कर सकता है। साथ ही, अनुक्रमिक तर्क सर्किट को आम तौर पर दो-राज्य या बस्टेबल डिवाइस के रूप में जाना जाता है क्योंकि इसमें केवल दो स्थिर राज्य होते हैं, '0' और '1', एक समय में एक राज्य। सर्किट में मेमोरी एलिमेंट एक बार में एक बिट स्टोर कर सकता है।

इस प्रकार के सर्किट में आउटपुट की एक सीमित संख्या के साथ इनपुट की एक सीमित संख्या होती है। स्मृति तत्व के कारण यह सर्किट हमारी कई समस्याओं का समाधान प्रदान करता है। एक अनुक्रमिक तर्क सर्किट मुख्य रूप से एक रजिस्टर, काउंटर के रूप में प्रयोग किया जाता है, डिजिटल कनवर्टर (एडीसी) के अनुरूप, इत्यादि

अनुक्रमिक तर्क आरेख | अनुक्रमिक तर्क वास्तुकला :

अनुक्रमिक तर्क सर्किट
अंजीर। अनुक्रमिक तर्क सर्किट

अनुक्रमिक तर्क सर्किट के प्रकार:

आम तौर पर, हम अनुक्रमिक तर्क सर्किट को दो मूल प्रकारों में अंतर कर सकते हैं:

  • ए अतुल्यकालिक अनुक्रमिक तर्क सर्किट।
  • बी तुल्यकालिक अनुक्रमिक तर्क सर्किट।

तुल्यकालिक अनुक्रमिक तर्क सर्किट:

इस लॉजिक सर्किट का आउटपुट इनपुट पल्स और सर्किट की क्लॉक पल्स पर निर्भर करता है। सर्किट को घड़ी के साथ सिंक्रोनाइज़ किया जाता है, यानी आउटपुट समय के एक सीमित अंतराल के बाद ही बदल सकता है। यहाँ स्मृति तत्व और घड़ी एक आवश्यकता है। बिना किसी क्लॉक पल्स के, आउटपुट में कोई बदलाव नहीं होगा। एक राज्य के आउटपुट में दूसरे में बदलाव के लिए, यह सर्किट घड़ी की पल्स में अगले बदलाव की प्रतीक्षा करता है।

इस प्रकार के सर्किट का उपयोग सर्किट में मौजूद सभी तत्वों को सिंक्रनाइज़ करने के लिए किया जा सकता है, व्यावहारिक रूप से इनपुट में बदलाव का जवाब देने के लिए। प्रसंस्कृत आउटपुट मुख्य रूप से होने के लिए एक सीमित समय की आवश्यकता होती है, जिसे प्रसार विलंब के रूप में जाना जाता है। प्रसार विलंब तत्व से तत्व में भिन्न हो सकता है। इसलिए ठीक से काम करने वाले सर्किट के लिए, हमें एक निश्चित समय अंतराल की आवश्यकता होती है ताकि सभी तत्वों को ठीक से प्रतिक्रिया करने के लिए अपना समय मिल सके। सिंक्रोनस लॉजिक सर्किट के उदाहरण फ्लिप-फ्लॉप, सिंक्रोनस काउंटर आदि हैं।

अतुल्यकालिक अनुक्रमिक तर्क सर्किट:

इस लॉजिक सर्किट का आउटपुट केवल इनपुट पल्स और पिछले इनपुट डेटा के अनुक्रम पर निर्भर करता है, इस सर्किट में कोई घड़ी नहीं होती है और इसे किसी सिंक्रोनाइज़ेशन की आवश्यकता नहीं होती है, इसलिए सर्किट घड़ी से स्वतंत्र होता है, जो इसे तेज बनाता है सिंक्रोनस अनुक्रमिक तर्क सर्किट क्योंकि आउटपुट न्यूनतम आवश्यक समय के साथ इनपुट में परिवर्तन के संबंध में बदल सकता है, समय की परवाह किए बिना प्रभावित हो सकता है। इस सर्किट की गति में एकमात्र बाधा सर्किट तत्वों के प्रसार में देरी है। यह कम बिजली, कम विद्युत चुम्बकीय हस्तक्षेप की खपत करता है।

अतुल्यकालिक अनुक्रमिक तर्क सर्किट आमतौर पर निम्नलिखित मामलों में संचालन करते हैं:

 इन सर्किटों का उपयोग मुख्य रूप से तब किया जाता है जब संचालन की गति प्राथमिकता होती है, जैसे कि माइक्रोप्रोसेसरों, डिजिटल सिग्नल प्रोसेसिंग, इंटरनेट एक्सेस के लिए, आदि। अतुल्यकालिक व्यवहार के कारण, आउटपुट कभी-कभी अनिश्चित हो सकता है, अतुल्यकालिक अनुक्रमिक तर्क के अनुप्रयोग को सीमित कर सकता है। सर्किट। बनाने इस प्रकार का सर्किट भी मुश्किल है।

तुल्यकालिक और अतुल्यकालिक अनुक्रमिक तर्क सर्किट के बीच अंतर:

तुल्यकालिक अनुक्रमिक तर्क सर्किटअतुल्यकालिक अनुक्रमिक तर्क सर्किट
इस लॉजिक सर्किट का आउटपुट इनपुट पल्स के साथ-साथ सर्किट की क्लॉक पल्स पर निर्भर करता है।इस लॉजिक सर्किट का आउटपुट केवल इनपुट पल्स और पिछले इनपुट डेटा के अनुक्रम पर निर्भर करता है।
इस सर्किट में घड़ी मौजूद है।सर्किट में कोई घड़ी मौजूद नहीं है।
सर्किट डिजाइन करने के लिए सरल है।इस सर्किट का डिजाइन जटिल है।
एक अतुल्यकालिक अनुक्रमिक तर्क सर्किट की तुलना में अपेक्षाकृत धीमा।तुल्यकालिक अनुक्रमिक तर्क सर्किट की तुलना में अपेक्षाकृत तेज़ काम करना।
राज्य का उत्पादन हमेशा अनुमानित होता हैराज्य का उत्पादन कभी-कभी अप्रत्याशित होता है
यह सर्किट कुछ हद तक उच्च शक्ति की खपत करता है।यह अपेक्षाकृत अधिक मामूली बिजली की खपत करता है।

अनुक्रमिक तर्क राज्य आरेख:

अनुक्रम तर्क राज्य आरेख सर्किट का एक विशिष्ट आरेख है, जिसमें हम इनपुट से संबंधित राज्यों के बीच संक्रमण का निर्धारण कर सकते हैं। इस प्रकार के आरेख में उस राज्य को मुख्य रूप से एक वृत्त के रूप में दर्शाया जाता है और एक राज्य से दूसरे राज्य में परिवर्तन को एक तीर द्वारा दर्शाया जाता है, साथ ही उस तीर के साथ इनपुट पल्स का प्रतिनिधित्व किया जाता है, जिससे राज्य के बीच संक्रमण होता है। जब पल्स आउटपुट होता है तो तीर को इनपुट पल्स से संबंधित आउटपुट के साथ दर्शाया जा सकता है। यहां तीर एक सर्कल से शुरू होता है और दूसरे सर्कल में जाता है और कभी-कभी यह स्थिति के आधार पर उसी सर्कल में वापस आ सकता है।

अनुक्रमिक तर्क सर्किट डिजाइन | अनुक्रमिक तर्क डिजाइन सिद्धांत

हम पहले से ही जानते हैं कि ए अनुक्रमिक तर्क सर्किट एक स्मृति तत्व के साथ संयोजन सर्किट को जोड़ती है। और मेमोरी एलिमेंट के लिए, हमें सर्किटरी में डेटा स्टोर करने के लिए एक स्टैटिक मेमोरी एलिमेंट की आवश्यकता होती है। तो सर्किट में एक स्थिर मेमोरी सेल बनाने के लिए, हम इनवर्टर का उपयोग करते हैं।

अनुक्रमिक तर्क सर्किट डिजाइन के चरण:

  1.  वांछित आउटपुट राज्यों के साथ आवश्यक अनुक्रमिक सर्किट के लिए एक राज्य आरेख बनाएं।
  2. स्टेट डायग्राम को स्टेट टेबल में बदलें।
  3. फ्लिप-फ्लॉप को अपनी आवश्यकता के रूप में चुनें और जो सभी आवश्यक शर्तों को पूरा कर रहा है, चयन के लिए विशेषता तालिका या उत्तेजना तालिका का उपयोग करें फ्लिप फ्लॉप.
  4. K- मैप या आवश्यक बूलियन एल्गोरिथम की सहायता से फ्लिप फ्लॉप में इनपुट फ़ंक्शन को न्यूनतम करें।
  5. अनुक्रमिक सर्किट को डिजाइन करने के लिए सरलीकृत फ़ंक्शन का उपयोग करें और यदि आवश्यक आउटपुट के लिए संयोजन सर्किट की आवश्यकता है तो इसे तदनुसार जोड़ें।
  6. अंत में, सर्किट के माध्यम से आवश्यक आउटपुट की जांच करें।

उपरोक्त चरण का पालन करके हम आवश्यक किसी भी अनुक्रमिक सर्किट को डिजाइन कर सकते हैं।

अनुक्रमिक एमओएस तर्क सर्किट:

जैसा कि हम जानते हैं कि एक अनुक्रमिक तर्क सर्किट एक स्मृति तत्व के साथ संयोजन सर्किट का संयोजन है। और मेमोरी एलिमेंट के लिए, हमें एक स्टैटिक मेमोरी एलिमेंट की आवश्यकता होती है ताकि वह डेटा को सर्किट्री में स्टोर कर सके। तो सर्किटरी में एक स्थिर मेमोरी सेल बनाने के लिए हम इनवर्टर का उपयोग करते हैं।

पलटनेवाला
अंजीर। इस आंकड़े में, दो इनवर्टर एक दूसरे से फीडबैक में जुड़े हुए हैं।

फीडबैक के साथ श्रृंखला में जुड़े दो या किसी भी संख्या में इनवर्टर द्वारा एक स्थिर मेमोरी सेल बनाया जा सकता है। इसकी दो स्थिर अवस्थाएँ होती हैं, लेकिन एक समय में एक स्थिर अवस्था होती है, और स्थिर आउटपुट अवस्था इनपुट से संबंधित होती है। जब एक शोर (वोल्टेज या अन्य रूप के रूप में) आउटपुट में जुड़ जाता है, जो सर्किटरी को अस्थिर बना सकता है, और आउटपुट एक निश्चित स्थिति में स्थिर नहीं हो सकता है, लेकिन जैसे ही शोर इनवर्टर में से किसी एक के माध्यम से पार हो जाता है, यह समाप्त हो जाता है चूंकि यह सर्किट हमेशा एक निश्चित स्थिर स्थिति में लौटने की कोशिश कर रहा है, जो हमें एक सक्रिय और पुनर्योजी मेमोरी सेल बनाने में मदद करता है।

Circutits
अंजीर। इस आंकड़े में फीडबैक में जुड़े दो इनवर्टर का एक सीएमओएस सर्किट।

उपरोक्त आरेख है CMOS सर्किट मेमोरी सेल (फीडबैक में जुड़े दो इनवर्टर) का होता है। जहां यह सर्किट इनपुट के माध्यम से आपूर्ति किए गए इनपुट (वोल्टेज) को देखते हुए '0' या '1' पर स्थिर होगा, सीएमओएस में यह मेमोरी सेल एक स्थिर मेमोरी सेल है। और इस मेमोरी सेल के CMOS सर्किट को कॉम्बिनेशन CMOS सर्किट के साथ मिलाकर हम सीक्वेंशियल सर्किट CMOS सर्किट डिजाइन कर सकते हैं।

संयोजन तर्क बनाम अनुक्रमिक तर्क:

संयुक्त तर्कअनुक्रमिक तर्क
यह एक प्रकार का डिजिटल तर्क है जो कई बूलियन सर्किट से बना है, और इसका आउटपुट केवल वर्तमान इनपुट पर निर्भर करता है।यह एक प्रकार का डिजिटल लॉजिक भी है जो एक संयोजन के साथ-साथ एक मेमोरी तत्व से बना है, इसका आउटपुट न केवल वर्तमान इनपुट पर निर्भर करता है, बल्कि पिछले इनपुट के अनुक्रम द्वारा भी हेरफेर किया जा सकता है।
इसका सर्किट अपेक्षाकृत महंगा है।इसका सर्किट अपेक्षाकृत सस्ता है।
इसकी परिपथ में घड़ी नहीं है।तुल्यकालिक अनुक्रमिक परिपथ में घड़ी एक आवश्यक तत्व है।
इसके परिपथ में कोई स्मृति तत्व नहीं है।इस तर्क के परिपथ में एक स्मृति तत्व होना चाहिए।
कोई फीडबैक सर्किटरी मौजूद नहीं है।पिछले इनपुट के माध्यम से हेरफेर के लिए, फीडबैक सर्किटरी की आवश्यकता होती है।
लॉजिक गेट्स के माध्यम से सर्किट को डिजाइन करना आसान है।यहां हम मेमोरी तत्वों और फीडबैक की आवश्यकता के कारण सर्किटरी को डिजाइन करने में जटिलताओं का सामना कर सकते हैं।
परिणामों का प्रसंस्करण तुलनात्मक रूप से तेज है।हर पहलू पर विचार करने के बाद, आउटपुट प्रोसेसिंग अपेक्षाकृत धीमी हो सकती है।
हम सत्य तालिका के माध्यम से इनपुट-आउटपुट संबंध को परिभाषित कर सकते हैं।इनपुट-आउटपुट संबंध को एक विशेषता तालिका, उत्तेजना तालिका और राज्य आरेखों के माध्यम से परिभाषित किया जा सकता है।
इस तर्क की आवश्यकता मुख्य रूप से बूलियन संचालन करने के लिए हैडेटा स्टोर करने, काउंटर बनाने, रजिस्टर आदि के लिए इस तर्क की आवश्यकता।

अनुक्रमिक तर्क सर्किट अनुप्रयोग:

इनपुट और आउटपुट की सीमित संख्या के साथ, एक परिमित राज्य मशीन के निर्माण के लिए अनुक्रमिक तर्क सर्किट का उपयोग किया जाता है। यह एक रजिस्टर, काउंटर आदि के रूप में कार्य कर सकता है। एक कॉम्बिनेशन सर्किट की मदद से, रैम (रैंडम एक्सेस मेमोरी) जैसे कई बुनियादी उपकरण बनाए जा सकते हैं, क्योंकि सीक्वेंशियल लॉजिक सर्किट हमें डेटा स्टोर करने की सुविधा प्रदान करता है जिससे यह दरवाजा खोलता है माइक्रोप्रोसेसर और अंकगणित तर्क सर्किट।

अनुक्रमिक तर्क उपकरण:

अनुक्रमिक लॉजिक डिवाइस के आउटपुट को वर्तमान इनपुट और पिछले इनपुट या क्लॉक पल्स द्वारा हेरफेर किया जा सकता है। अनुक्रमिक डिवाइस अंतिम डेटा को मेमोरी तत्व के साथ संग्रहीत करते हैं। डेटा इन उपकरणों को संग्रहीत करने की क्षमता के साथ, किसी समस्या को हल करने के नए तरीके खोलें।

अनुक्रमिक उपकरण जैसे काउंटर, रजिस्टर आदि हैं।

अनुक्रमिक तर्क चिप्स

Sequential logic chip 3
छवि क्रेडिट: कॉन्स्टेंटिन लैंज़ेट, केएल चिप्स F8680 SoCसीसी द्वारा एसए 3.0

अनुक्रमिक तर्क के फायदे और नुकसान:

अनुक्रमिक तर्क के लाभ:

अनुक्रमिक तर्क का एक महत्वपूर्ण लाभ यह है कि इसके सर्किट में एक मेमोरी तत्व होता है जो डेटा को संग्रहीत करने और एक रजिस्टर, काउंटर और माइक्रोप्रोसेसर बनाने में सक्षम बनाता है। क्लॉक पल्स के उपयोग के साथ, यह विभिन्न प्रसार विलंबों की परवाह किए बिना सभी सर्किटरी तत्वों को सिंक्रनाइज़ कर सकता है और उचित आउटपुट प्रदान कर सकता है। आउटपुट को वर्तमान इनपुट, इनपुट के पिछले अनुक्रम और क्लॉक पल्स के माध्यम से भी हेरफेर किया जा सकता है।

अनुक्रमिक तर्क के नुकसान:

सर्किटरी में एक घड़ी और फीडबैक की उपस्थिति, आउटपुट की प्रोसेसिंग धीमी हो सकती है। सर्किट की जटिलताएं बढ़ सकती हैं, जिससे सर्किटरी बनाने में कठिनाई हो सकती है। आउटपुट कभी-कभी अनिश्चित हो सकता है।

अनुक्रमिक तर्क इतिहास :

अनुक्रमिक तर्क का उपयोग परिमित राज्य मशीन के विकास के लिए किया जाता है, जो सभी डिजिटल सर्किटरी का एक बुनियादी निर्माण खंड है। अधिक जानकारी के लिए यहां क्लिक करे.

अनुक्रमिक तर्क सर्किट प्रश्न और उत्तर | अनुक्रमिक तर्क सर्किट पर हल की गई समस्याएं | सामान्य प्रश्न

Q. कंप्यूटर रैम अनुक्रमिक तर्क का उपयोग कैसे करता है?

Q. क्या ROM/RAM एक संयोजन या अनुक्रमिक सर्किट है?

उत्तर:- ROM (रीड ओनली मेमोरी) एनकोडर, डिकोडर, मल्टीप्लेक्सर, एडर सर्किटरी, सबट्रैक्टर सर्किटरी, आदि शामिल हैं। एनकोडर एक संयोजन सर्किट है जो मुख्य रूप से डेटा के एक रूप को दूसरे प्रारूप में परिवर्तित करता है, जैसे कि दशमलव डेटा को बाइनरी डेटा में। विकोडक यहाँ एक संयोजन सर्किट भी है। उसके लिए भी यही बहुसंकेतक, योजक, और घटाव। यहां सभी एक कॉम्बिनेशन सर्किट हैं।

 ROM में, हम मेमोरी की सामग्री को बदल नहीं सकते हैं। इसलिए ROM का आउटपुट केवल इनपुट पर निर्भर करता है। तो इनपुट या आउटपुट के पिछले मूल्य की कोई आवश्यकता नहीं है। तो, ROM की परिपथ में केवल एक संयोजन परिपथ है।

 जबकि के लिए RAM (रैंडम एक्सेस मेमोरी), PROM (प्रोग्रामेबल रीड-ओनली मेमोरी), EPROM (इरेज़ेबल प्रोग्रामेबल रीड-ओनली मेमोरी), EEPROM (इलेक्ट्रिकली इरेज़ेबल प्रोग्रामेबल रीड-ओनली मेमोरी) में एक मेमोरी होती है जो बदल सकती है। प्रोम के मामले में, इसे निर्मित होने के बाद एक बार प्रोग्राम किया जा सकता है। RAM, EPROM, EEPROM, जहां राज्य बदल सकते हैं। इस प्रकार की मेमोरी में, हमें हमेशा उचित संचालन के लिए अनुक्रमिक सर्किट की आवश्यकता होती है, क्योंकि यहां, पिछले इनपुट और आउटपुट मानों की आवश्यकता होती है। डेटा के पिछले अनुक्रम के साथ वर्तमान आउटपुट को बदला जा सकता है। इसलिए इस प्रकार की मेमोरी को अनुक्रमिक सर्किट की आवश्यकता होती है।

Q. क्या रिपल कैरी एडर अनुक्रमिक सर्किट का एक उदाहरण है क्यों?

  उत्तर:- रिपल कैरी एडर एक डिजिटल सर्किटरी है जो दो अलग-अलग बाइनरी नंबर का जोड़ अंकगणित करता है। इसे कैरी आउटपुट के लिए एक पूर्ण योजक कनेक्टर के कैस्केडिंग के साथ डिज़ाइन किया जा सकता है, जहां एक पूर्ण योजक का कैरी आउटपुट अगले पूर्ण योजक के इनपुट से जुड़ा होता है। जैसा कि हम यहां देखते हैं, एक पूर्ण योजक अगले योजक से फीडबैक के रूप में जुड़ा हुआ है, यहां एक पूर्ण योजक का आउटपुट दूसरे पूर्ण योजक के आउटपुट में हेरफेर कर सकता है। तो यहाँ हम देखते हैं कि पिछला आउटपुट सर्किट के वर्तमान आउटपुट में हेरफेर कर सकता है। इसलिए रिपल कैरी योजक को एक अनुक्रमिक सर्किट माना जा सकता है।

Q. वेरिलोग में अनुक्रमिक सर्किट में नॉन-ब्लॉकिंग असाइनमेंट का उपयोग क्यों किया जाता है ?

 उत्तर:- नॉन-ब्लॉकिंग असाइनमेंट में जब पहली बार स्टेप होता है, नॉन-ब्लॉकिंग स्टेटमेंट के राइट-हैंड एक्सप्रेशन का मूल्यांकन होता है, उसके बाद नॉन-ब्लॉकिंग स्टेटमेंट के लेफ्ट-हैंड का रिवीजन होता है। स्थान, और समय कदम के अंत में, बाएं हाथ के बयान का मूल्यांकन होता है।

 चूंकि गैर-अवरुद्ध असाइनमेंट किसी अनुक्रमिक कथन के मूल्यांकन को अवरुद्ध नहीं करते हैं, इन असाइनमेंट का निष्पादन एक साथ या समानांतर रूप से होता है। इसलिए, वेरिलोग में अनुक्रमिक तर्क सर्किट बनाने के लिए हमें हमेशा क्लॉक किए गए ब्लॉक और गैर-अवरुद्ध असाइनमेंट पर विचार करना होगा। गैर-अवरुद्ध असाइनमेंट की मदद से, हम अनुक्रमिक सर्किटरी में स्थिति के आसपास की दौड़ को समाप्त कर सकते हैं।

Q. अतुल्यकालिक अनुक्रमिक तर्क सर्किट को परिभाषित करें ?

उत्तर: एसिंक्रोनस सीक्वेंशियल लॉजिक सर्किट सेक्शन में समझाया गया।

Q. 20 राज्यों वाले अनुक्रमिक सर्किट के निर्माण के लिए कितने फ्लिप फ्लॉप की आवश्यकता होती है.

उत्तर:- फ्लिप फ्लॉप अनुक्रमिक डिजिटल सर्किट में एक बुनियादी स्मृति तत्व है, जिसमें दो स्थिर अवस्थाएँ होती हैं, और उन दो अवस्थाओं को '0' और '1' के रूप में दर्शाया जा सकता है, लेकिन यह एक समय में एक बिट को संग्रहीत कर सकता है।

 बाइनरी एन्कोडिंग के अनुसार, फ्लिप फ्लॉप की संख्या अधिकतम 2 का प्रतिनिधित्व कर सकती हैn

यहां हमें अनुक्रमिक सर्किट के 20 राज्यों की आवश्यकता है

तो 2n = 20

उपरोक्त समीकरण को हल करने के बाद, हमें n = 4.322 प्राप्त होता है

जहाँ तक, 24 केवल 16 राज्य हैं, लेकिन हमें 20 राज्य चाहिए। यहां हमारे पास काम करने के लिए 4 और राज्य हैं इसलिए हमें 4 से बड़ी संख्या चुननी होगी। इसलिए, हम n=5 का उपयोग करेंगे जहां 25 has 32 states, which is sufficient enough for 20 states.

जबकि वन-हॉट एन्कोडिंग में n राज्यों के लिए आवश्यक फ्लिप फ्लॉप की संख्या n है। इसलिए वहां हमें 20 राज्यों के लिए 20 फ्लिप फ्लॉप की जरूरत है।

Q. अकेले संयोजन चिप्स से अनुक्रमिक चिप कैसे बनाया जा सकता है

उत्तर:- जब कॉम्बिनेशन लॉजिक सर्किट को फीडबैक पाथ से जोड़ा जाता है, तो परिणामी सर्किट एक सीक्वेंशियल लॉजिक सर्किट होता है।

यदि हम आवश्यक स्मृति तत्वों के आरेख में जाते हैं जैसे a फ्लिप फ्लॉप, कुंडी, हम देख सकते हैं कि फ्लिप-फ्लॉप को AND गेट, NAND गेट, NOR गेट, आदि की मदद से बनाया जा सकता है, जब वे एक दूसरे से फीडबैक से जुड़े होते हैं।

SR FF
अंजीर। यह SR फ्लिप फ्लॉप का आरेख है। 

 आरेख एक प्रतिक्रिया पथ से जुड़े दो नंद द्वार दिखाता है जो एसआर फ्लिप फ्लॉप सर्किट बनाता है। इस तरह, एक संयोजन सर्किट को अनुक्रमिक सर्किट में परिवर्तित किया जा सकता है।

Q. अस्थिर अनुक्रमिक तर्क सर्किट का कार्य सिद्धांत

उत्तर:- एक अदभुत अनुक्रमिक तर्क परिपथ में आउटपुट के रूप में कोई स्थिर अवस्था नहीं होती है अर्थात यह किसी भी अवस्था में स्थिर नहीं होती है। आउटपुट लगातार एक राज्य से दूसरे राज्य में स्थानांतरित होता है। इस प्रकार के सर्किट को एक थरथरानवाला के रूप में इस्तेमाल किया जा सकता है, एक सर्किट में क्लॉक पल्स उत्पन्न करने के लिए ऐसे थरथरानवाला। एक का एक उदाहरण एस्टेबल सर्किट एक रिंग ऑसिलेटर है.

अधिक लेखों के लिए यहां क्लिक करे

एक टिप्पणी छोड़ दो